← Back to team overview

yade-users team mailing list archive

Re: [Question #266166]: PY_minieigen missing?

 

Question #266166 on Yade changed:
https://answers.launchpad.net/yade/+question/266166

Luc OGER posted a new comment:
here the first warning :
In file included from /usr/include/eigen3/Eigen/Cholesky:24:0,
                 from /usr/include/eigen3/Eigen/QR:8,
                 from /usr/include/eigen3/Eigen/SVD:4,
                 from /usr/include/eigen3/Eigen/Geometry:8,
                 from /home/oger/Yade/trunk/lib/base/Math.hpp:98,
                 from /home/oger/Yade/trunk/core/Omega.hpp:20,
                 from /home/oger/Yade/trunk/gui/qt4/GLViewer.hpp:6,
                 from /home/oger/Yade/trunk/gui/qt4/GLViewer.cpp:11:
/usr/include/eigen3/Eigen/src/Cholesky/LDLT.h: In member function ‘void Eigen::internal::solve_retval<Eigen::LDLT<MatrixType, _UpLo>, Rhs>::evalTo(Dest&) const’:
/usr/include/eigen3/Eigen/src/Cholesky/LDLT.h:505:39: warning: typedef ‘Scalar’ locally defined but not used [-Wunused-local-typedefs]
     typedef typename LDLTType::Scalar Scalar;
                                       ^
and the same warning message for a lot of building CXX

-- 
You received this question notification because you are a member of
yade-users, which is an answer contact for Yade.